О Воронежских ПЛИС

Аватар пользователя nabbla

Об Эльбрусах и Байкалах разговоров много, а о ПЛИС как-то молчок. А между тем, их у нас делают, причём именно на территории России. Уже имел дело с 5576ХС4Т1 и 5578ТС104, листал ТУ на их "соседей", попытаюсь в общих чертах описать, что за зверь такой...

Изготовителем считается ВЗПП-С, Воронежский Завод Полупроводниковых Приборов - Сборка. Но как указывает последнее слово, завод этот занимается в первую очередь корпусированием кристаллов и отгрузкой готовой продукции. Изготовитель кристаллов: зеленоградский Микрон.

Злые языки говорят, что мы просто купили в своё время лицензию на старые, снятые с производства Альтеровские ПЛИС, и теперь бездумно их клепаем у себя. Доля правды в этом есть, но если присмотреться, всё немного не так!

 

5576ХС4Т1 в пластиковом корпусе на отладочной плате:

Отладочная плата для ХС4

Флэш-конфигуратор зато в металлокерамике, всё как положено. Хотя в космос ему нельзя, туда однократно-программируемый нужен, флэш-память очень уязвима. Сама 5576ХС4Т бывает в металлокерамике, а бывает и в пластике, как здесь.

Эта ПЛИС, прямо согласно ТУ, является функциональным аналогом Altera Flex10ke, на 9984 логических элемента (ЛЭ), с 24 блоками внутренней памяти (БВП, они же EAB, Embedded Array Block) по 512 байт каждый.

Чтобы её "прошить", прямым текстом просят скачать Quartus II версии НЕ ВЫШЕ 9.0 sp2, поскольку начиная с 9.1 поддержка серии Flex10k прекращается. Нужно выбрать device: EPF10K200SRC240-3. И когда проект будет написан и отсинтезирован, его можно будет закачать НАПРЯМУЮ, прямо "не выходя из Квартуса". Разве что ноги надо назначать согласно таблицы из ТО. 

Так что да, на самый первый взгляд это точный клон. Вот только питающие напряжения не совпадают!  У Flex10ke ядро питается от 2,5 вольт, а у 5576ХС4Т: от 1,8 вольт. И это даёт свой результат: если посмотреть на формулу для расчёта потребления, там есть коэффициент K, в мкА/МГц, и он в Flex10ke и 5576ХС4Т отличается ЗНАЧИТЕЛЬНО, наша ПЛИС, как ни странно, более "холодная". И могу это подтвердить: сколько я её не ковырял, больше 300 мА потребление ВСЕЙ ПЛАТЫ никогда не шло, и на ощупь она всегда остаётся холодной. 

Далее, у нашей ПЛИС, в сравнении с "оригиналом", расширенные возможности ввода-вывода: добавлена "подтяжка к земле", "подтяжка к VCC" и Bus-Hold (если с шины "все ушли", сохранить последнее значение, не позволить ей висеть в воздухе, т.к в таком режиме у КМОП-входов начинаются сквозные токи, нехорошо). Это заметно уже при включении ПЛИС: у "оригинала" просто все ножки повисали в воздухе, а здесь подтягиваются к VCC. Мне сразу вспоминалась приборная панель автомобиля, где при повороте ключа зажигания для начала вспыхивают все табло, "проверить, что лампочки не перегорели". Впрочем, мои соседи умудрялись себе прибор спалить из-за такого поведения, надо это иметь в виду, когда схему проектируешь... А чтобы сконфигурировать дополнительные возможности, одним Квартусом уже не обойдёшься, нужно купить комплект программ от КТЦ Электроника и "скормить" им выходной бинарный файл Квартуса, и уже отметить всё что нужно.

Ещё из нововведений: режимы Verification и Scrubbing. Первый непрерывно гоняет конфигурационную ПЗУ и проверяет, по-прежнему ли прошивка ПЛИС соответствует тому, что лежало в ПЗУ. Второй не просто проверяет, но и "перезаписывает" сбойные места, прямо на лету. И то, и другое, видимо, предназначается для работы в условиях космических лучей, чтобы при сбоях ячеек статической памяти восстановить функционирование. Честно говоря, так до сих пор не довелось проверить это "в деле", да и конкретно 5576ХС4Т не такая уж стойкая: дозу она воспримет неплохую, но защита от ТЗЧ в ТУ "не нормирована", что вынуждает ставить отдельно защиту от тиристорного эффекта. Я очень скептично к ней относился, разве ж можно почувствовать, что из 9984 ЛЭ один вдруг "защёлкнулся"? Оказывается, можно, по крайней мере, на испытаниях оно срабатывало.

Так что о бездумном копировании говорить не приходится. Уже на этой ПЛИС был опробован переход на другой техпроцесс (сниженное напряжение и энергопотребление) и добавление некоторых "пряников". До сих пор не понимаю, как при этом удалось оставить бинарную совместимость в плане прошивки, возможно, нашли незадействованные "заделы", и, наконец, применили. При этом возникла ещё одна странность, характерная именно для 5576ХС4Т: в режиме конфигурирования она невосприимчива к JTAG'у. Немножко седых волос добавило: только начал с этой отладочной платой разбираться, подключил JTAG, увидел два устройства в цепочке (ПЛИС и конф. ПЗУ), но ни одно прошиваться не желает, ругается последними словами. Как оказалось, ПЛИС будет прошиваться, только если из ПЗУ в неё загрузим хоть ЧТО-НИБУДЬ, а вот при пустой ПЗУ она застревает в какой-то прострации... А для ПЗУшки нужно было конвертировать файл под EPC4.

Все последующие ПЛИС отличаются уже сильнее, и бинарной совместимости не имеют, там без преобразования в специальной программе не обойдёшься.

5576ХС6Т: дофига радстойкая, как по дозе, так и по ТЗЧ. Но размер поменьше, 2880 ЛЭ и 5 килобайт внутренней памяти.

5576ХС7Т ещё мельче, 1728 ЛЭ и 3 килобайта памяти, и содержит внутри себя конфигурационную однократно программируемую ПЗУ. Сценарий такой: поначалу отлаживаемся по JTAGу, каждый раз теряя "прошивку" после пропадания питания, а как только доведём прошивку до ума - поставим её "насовсем". Такого в серии Flex10ke точно нет! Разве что MAX3000 в чём-то похож, но MAX это всёж CPLD на макроблоках, не тот калибр. Впрочем, есть у 5576ХС7Т подлянка: нельзя инициализировать блоки внутренней памяти! В других ПЛИС можно, чтобы после конфигурации в памяти лежали заранее заданные нами значения, которые мы описали в файлах .mif (Memory Initialization File) или .hex, а здесь, увы и ах - будет лежать мусор.

На этом серия 5576 заканчивается, и начинается "новое поколение", ПЛИС 5578ТСxxx.

Я присматривался к 5578ТС034, улучшенный аналог 5576ХС7Т: 4992 ЛЭ и 6 килобайт памяти (если не ошибаюсь. Помню, что "половинка от ХС4Т") с однократным программированием. Увы, здесь сохранилась нехорошая особенность, нельзя инициализировать память. Для меня это было принципиально: я для этих ПЛИС разработал микроядро QuatCore, сокращённо от Quaternion Core, заточенное под задачи технического зрения и ориентации в пространстве с помощью кватернионов. И мне нужно было, чтобы в одних блоках памяти сразу же оказался код, а в других - начальные значения всех переменных. Лишишься этого - придётся некий "бутлоадер" ковырять и подключать ПЗУ снаружи, некрасиво это...

О некоторых ничего толком сказать не могу, например, 5578ТС014 или 5578ТС024.

Ну а самые тяжеловесы - это 5578ТС0645578ТС084, 5578ТС094, 5578ТС104. Это уже функциональный аналог Altera Cyclone III, произведённые по техпроцессу 90 нм. Напряжения: 2,5 вольта периферия, 1,2 вольта ядро. По логической ёмкости, самая крупная, как это ни странно, ТС064, под 56 тысяч ЛЭ и свыше 2 МБит внутренней памяти, полторы сотни аппаратных умножителей 18х18 (DSP-Блоки) и 4 блока ФАПЧ (PLL). Плюс к тому, отдельные ножки теперь можно конфигурировать в LVDS.

Из этих 4, 5578ТС104 выделяется "толерантностью к 3,3 вольтам", то есть при напряжении периферии 2,5 вольта, можно подавать 3,3-вольтовые сигналы без страха её спалить. Потрохов чуточку меньше: 39600 ЛЭ, 1,134 МБит внутренней памяти, 126 перемножителей. 

5578ТС104 в естественной среде обитания

Здоровенная хреновина!

Судя по обилию операций, которые надо сделать, чтобы с ней работать, она ещё дальше ушла от оригинала. Тайминги не совпадают, и, как это ни удивительно, местами они ЛУЧШЕ. Чтобы узнать, может ли наш проект корректно работать на заданной тактовой частоте, нужно подключить специальный TCL-скрипт, который "подправит" задержки распространения, прописанные для Cyclone III. Иногда может отличаться "роутинг", некоторые пути, возможные в Cyclone III, не получается "развести" по ТС104. Так что и здесь нужно отдельную программку запускать, с романтическим названием verify_routing. К сожалению, они не очень подробно сформулировали "алгоритм действий", забыли сказать, что после неудачного результата надо УДАЛИТЬ файлик .rcf, и только после этого запускать синтез с новым значением seed. Ещё и ФАПЧ реализована по-своему, нужно смотреть специфику.

Слабое место этой ПЛИС: конфигурационное ПЗУ аж на 24 МБита. Его должен был делать Миландр, но чего-то запаздывает. Самое интересное, по моим предварительным изысканиям, все 24 МБита здесь и не нужны, хватило бы чуть больше 8, и это при несжатом битстриме. Использовать сжатый она, похоже, не умеет, или программистам лень было реализовывать операцию по сжатию, этого пока не знаю, буду выяснять.

Выводы

Работать с этими ПЛИС вполне можно, документация довольно неплохая, впрочем, хитростей всё равно хватает.

Плохо ли то, что они являются функциональными аналогами Altera Flex10k и Cyclone III? А почему бы и нет... Даже такая вот "миграция" получается довольно нервной, а если ещё человека заставить сидеть в совершенно другой среде разработки, с другими библиотечными компонентами, без понимания, как писать модули, чтобы они ложились "компактно" в данную ПЛИС - мало кто это вынесет... Прямой копией, как видно, они не являются, и чем дальше - тем сильнее они "уходят" в сторону. Видно, что у нас есть специалисты, которые очень глубоко разобрались во "внутреннем устройстве" альтеровских ПЛИС и всех генерируемых файлах, иначе даже малейших изменений они внести бы не смогли. 

Насколько эти ПЛИС "древние"? Да нормально, Cyclone III ещё из употребления не вышел, используется вовсю. Компоненты для космической техники всегда "отстают от моды", да и не очень хочется излишне тонкие техпроцессы там использовать, одна случайно залетевшая тяжёлая частица устроит погром!

При грамотном проектировании, на таких ПЛИС можно горы свернуть!

Авторство: 
Авторская работа / переводика
Комментарий автора: 

Может, чересчур специфично для АШ, но только "вблизи" можно понять, это клон или нет, издалека они все на одно лицо. 

Комментарии

Аватар пользователя fzr1000
fzr1000(3 года 2 недели)

Спасибо

Аватар пользователя VSkilled
VSkilled(5 лет 3 месяца)

  Присоединяюсь к выражению благодарности.

  ТС'у, определённо, надобно книжицу написать по этой теме. "Стиль и слог" — присутствуют.

Комментарий администрации:  
*** Уличен в раздувании неинформативных срачей ***
Аватар пользователя Николаев Александр

Насколько эти ПЛИС "древние"? Да нормально, Cyclone III ещё из употребления не вышел, используется вовсю. Компоненты для космической техники всегда "отстают от моды", да и не очень хочется излишне тонкие техпроцессы там использовать, одна случайно залетевшая тяжёлая частица устроит погром!

Она в любом случае устроит погром. Хоть 1Μμ, хоть один нм. 

Но что не копипастят просто а чего-то сами делают это очень хорошо. 

Аватар пользователя Tcheluskin
Tcheluskin(12 лет 3 месяца)

Она в любом случае устроит погром. Хоть 1Μμ, хоть один нм.

Вообще-то ниже 100–200 нм с уменьшением техпросесса стойкость к одиночным сбоям — увеличивается.

Как я понимаю, это следствие того, что размер транзисторов уменьшается быстрее, чем используемые для записи величины токов.

Про стойкость к фатальным отказам не знаю.

Аватар пользователя Рукастый
Рукастый(8 лет 1 неделя)

Вообще-​то ниже 100–200 нм с уменьшением техпросесса стойкость к одиночным сбоям — увеличивается.

Теоретически. Как-то мудохались с серверным процом, при неосторожном обращении загнулись три контакта. Пока все не разогнули в идеальное состояние, проц не работал. Часа два на это ушло. И при сбоях они могут отрубиться программно.  

Комментарий администрации:  
*** Уличен в невменяемом хамстве - рекомендуется банить при рецидивах ***
Аватар пользователя саморез
саморез(2 года 2 недели)

Я ещё в 80х читал серьёзные забугорные исследования на english про сбои DRAM от всяких частиц.

А тогда технологические нормы были максимум 3000-1500 нм. Ну к примеру на кристалле ОЗУ в СССР было не больше 64Кбит.

В общем-то оперативная память с коррекцией ошибок давно производится неспроста.

Комментарий администрации:  
*** отключен (невменяемое общение) ***
Аватар пользователя IL
IL(12 лет 5 месяцев)

В решениях для спец. условий прменяется и избыточность по памяти с исправлением ошибок и троирование логики с голосованием. П.О. соответствующее есть для ПЛД (FPGA) насколько знаю. Впрочем от тех. процесса (размера и т.п.) тоже сильно стойкость зависит, не зря же используют КНС/КНИ (кремний на сапфире/изоляторе) для космических чипов.

Аватар пользователя Nordicx86
Nordicx86(12 лет 3 месяца)

очень, но для реальных нужд в 90% случаев такие плис будут лучше чем современные монстры от которых ты будешь использовать 1%...

Комментарий администрации:  
*** Криптобес ***
Аватар пользователя 55aa
55aa(10 лет 6 месяцев)

Спасибо.

Буду надеяться, что кристалл - не от TSMC, и можно будет работать дальше.

Аватар пользователя ВладиславЛ
ВладиславЛ(5 лет 6 месяцев)

Присоединяюсь - на сайте завода скупая информация.
Отмечу что в зоне поражения PrSM  и более древних но с более тяжёлой БЧ ATACMS, дронов. Подальше надо и, главное, делать более распределёнными.

По нейросетевым - имея живого целого Галушкина (умер спустя пару лет после выступления на НСКФ2014 по посткремниевым), корифея, не иметь массовых несколько производств импульсных нейрочипов на мемристорах - это надо было постараться 5 колонне в Москве.

https://2013.nscf.ru/TesisAll/Plenar/13_1520_GalyshkinAI_P13.pdf

По его прикидкам экзафлопные на мемристорах в 2020 были бы:
https://2014.nscf.ru/TesisAll/0_PostMoore_Plenar/08_009_GalushkinAI.pdf

Имел честь общаться с ним.

"Нет пророка в отечестве своём" ;-(

 

Сейчас можно импульсные сети для серьёзных вычсистем иметь полностью оптические. В частности на подобии оптических мемристоров, но с большим функционалом, ближе к биологическим аналогам. Хотя лучше к другим. При этом у вас не будет никаих проводов вовсе или будут на "нейрон". Связь оптическая для таких систем лучше электроники хотя бы по причине связности и возможности быстрой перестройке связей и весов. (с)
То что на оптике естественным образом и легко реализуется на электронике такие ужасные сотниножки на многослойных платах, как на фотографии в статье. Это то чем мне электронника - а есть ешё стены памяти и тепловых барьеров несколько, никогда не нравились. Даже датчики и то оптические чисто надёжнее, если грамотно выполнены.

Комментарий администрации:  
***отключен (антигосударственная пропаганда, систематические набросы) ***
Аватар пользователя oliakhim
oliakhim(7 лет 11 месяцев)

Думаю не в древности дело, а в возможности на этой основе делать следующие версии. Иначе говоря, не понятно, купили ли наши HDL исходники кода на основе которых можно делать свои наработки, или уже маски для производства. Во втором случае дело попахивает тупиком. 

Аватар пользователя GaussCurve
GaussCurve(10 лет 10 месяцев)

Алтера это  фаблесс компания, у них масок никогда и не было.

Аватар пользователя oliakhim
oliakhim(7 лет 11 месяцев)

Мы же сейчас не про альтеру разговариваем? А про то, что есть в России

Аватар пользователя GaussCurve
GaussCurve(10 лет 10 месяцев)

Есть обещания дать сюда наконец денег. И людей. 

А сейчас мы как те дикари со стеклянными бусами, беленькая бусинка, жёлтенькая, а вот огого какая, давайте разведём костёр и спляшем в круг.

Аватар пользователя саморез
саморез(2 года 2 недели)

Люди в теме давно озабочены.

И производством конечных изделий.

И разработкой и производством процессоров.

И производством прочих комплектующих.

И денег там дохрена давно выделяется.

Так что не беспокойтесь, импортозамещение в процессе.

Я и сам руку приложил в некоторой степени в ПО.

Но всё это очень непублично, пока конечных изделий для массового потребителя нет.

Вот появился прошлой осенью в продаже смартфон AYYA T1. Производство реально российское, Зеленоград. Комплектующие из Тайваня. Это так сказать пока проба пера идёт.

В общем схема такая же - как чёртик из бутылки выскочили "вежливые люди", а потом "калибры", о которых никто не знал. Так и тут работа идёт скрытно.

Комментарий администрации:  
*** отключен (невменяемое общение) ***
Аватар пользователя Nordicx86
Nordicx86(12 лет 3 месяца)

для масок слишком много доработок - так что HDL 

Комментарий администрации:  
*** Криптобес ***
Аватар пользователя Вертер
Вертер(5 лет 7 месяцев)

Спасибо, интересно! Такой продукт нужен прозапас и много. Надеюсь он не будет дефицитен. 

Аватар пользователя bbrat2
bbrat2(7 лет 6 месяцев)

Очень радует, хоть я совершенно не в теме. А вообще, сделать завод, который потихоньку копирует и дорабатывает и патентует, западные образцы было бы верным решением. На весь спектр не нужен - несколько самых ходовых позиций.

Скрытый комментарий Повелитель Ботов (без обсуждения)
Аватар пользователя Повелитель Ботов

Перспективный чат детектед! Сим повелеваю - внести запись в реестр самых обсуждаемых за последние 4 часа.

Комментарий администрации:  
*** Это легальный, годный бот ***
Аватар пользователя TomAlex
TomAlex(2 года 5 месяцев)

Спасибо!  Много полезного. Где-нибудь еще публикуете свои заметки об особенностях работы с Воронежскими ПЛИС?

Аватар пользователя nabbla
nabbla(1 год 12 месяцев)

Есть у меня уютный бложек, http://nabbla1.livejournal.com

Когда только начал 5576ХС4Т осваивать, довольно подробно расписывал "первые шаги": Мучаем 5576ХС4Т - часть 0 - покупаем, паяем, ставим драйвера и софт: nabbla1 — ЖЖ (livejournal.com) Но там все особенности ПЛИС в первых нескольких частях, потом просто возня в верилоге, приведшая к появлению процессора QuatCore :) Дело в том, что я с института эти ПЛИСоводские дела оставил, а тут пришлось вспоминать, вот вспоминал очень громко.

Но эту 5576ХС4Т1 я вообще "на свои собственные" купил, и считал своим правом писать о ней всё что хочу. А 5578ТС104 уже "казённая", поэтому излишне прибор на её основе не отсвечиваю. Но кое-что проскакивает по тегу ПЛИС

Сейчас придумываю сверхкомпактный протокольный контроллер МКО (Mil-Std 1553) на её основе. Высокоуровневую часть уже реализовал и отладил (см длиннющую эпопею примерно отсюда, нужно посты снизу вверх, начиная с "МКО через UART), но там "физического уровня" не хватало, потому как мне его и тестировать было не на чем. Сейчас вот появилось, скоро будет всё целиком.

Бложек больше "для себя", чтобы самому в этом барахле не запутаться, и реально помогает. Может, чуть освобожусь по текущим делам - всё же задокументирую все свои наработки, необычно компактно всё выходит, процессор+DMA+МКО+SPI+UART+видеообработчик сигнала с фотоприёмной матрицы - на всё 1750 ЛЭ. 

Аватар пользователя Верняк
Верняк(9 лет 7 месяцев)

Статья интересная, спасибо за труд.

Возник вопрос, возможно вы в курсе ситуации - процессоры выпекаемые на разных техпроцессах - в каких отраслях производства какие именно используются? Какие наиболее востребованы вообще, а какие востребованы именно в России?

Искал сам эту информацию, но то ли я неверно формулирую запрос, то ли реально нет такой информации - но найти не удалось ничего внятного.

Аватар пользователя nabbla
nabbla(1 год 12 месяцев)

Не могу ничего определенного сказать. По смыслу, особенно тонкие техпроцессы только в быту и нужны, чтобы любимая игрушка не тормозила (или как минимум, любимый офисный пакет не думал по секунде над каждой клавишей), да "андроид" не раскалялся докрасна при включенном навигаторе. Суперкомпьютеры ещё.

А в промышленности и на 8086 много чего могло бы работать. Ну вот для ПЛК разве много нужно мозгов?? Её зачастую по классике программируют, лестничными цепями (ladder logic). И быстродействия достаточно "релейного". Кстати, ПЛИС тут можно было бы "напрямую" использовать,  физически эти лестничные цепи реализовать внутри неё, но она дороже процессора, поэтому процессор :)

 

 

Аватар пользователя Верняк
Верняк(9 лет 7 месяцев)

Остро нехватает в публичном поле взвешенного мнения от спецов отрасли.

Т.е. что сами уже обеспечиваем - какие отрасли, какие направления, что по инфраструктуре и ТП.

Потом что можем в реальные сроки заместить на своё производство - какие проблемы на этом пути.

Ну и что в реальные сроки недостижимо, какие отрасли это затрагивает, как может отразится на инфраструктуре, цифровизации и ТП.

 

Досужих (без пруфов) утверждений на тему "все пропало" много, а вот встречных разборов найти не могу.

Может вам будет интересно разобраться в этом если есть ходы.

Аватар пользователя Arioch
Arioch(3 года 8 месяцев)

А смысл? Китайцы же манчестерские контроллеры пачками штампуют. Едва ли ПЛИС "общего назначения" может конкурировтаь со спец-микросхемой, хоть по цене ,хоть по физическим параметрам?

Аватар пользователя nabbla
nabbla(1 год 12 месяцев)

Мне ПЛИС в любом случае нужна для обработки картинки. Вряд ли я её забью до предела. Если лишних 200 ЛЭ останется, на них реализуется Манчестер, как говорится, бесплатно.

Да и в моём случае китайцев нельзя применить. Можно специализированную 1985ВА2, но она ни разу не дешевая и предельно наворочена, РЭ на триста страниц очень убористым текстом, который как будто перевели машинным переводчиком. Сотни конфигурационных регистров чтобы она делала ровно то, что нужно мне. Понятно, если я попытался бы её скопировать, то всю ПЛИС и забил бы. Но мне же этого не надо , мне нужен ровно ОУ (без КШ и МШ), и знаю протокол инф обмена, я не должен заложиться подо все ситуации! Поэтому, как я говорю, около 200 ЛЭ

Аватар пользователя nabbla
nabbla(1 год 12 месяцев)

Очепятка вышла, 1895ВА2Т. 

Аватар пользователя oliakhim
oliakhim(7 лет 11 месяцев)

Это как Питон и С++. Питон проигрывает в скорости исполнения, но очень сильно выигрывает в скорости разработки. Так же и тут: когда критичны стоимость и время вывода в эксплуатацию, то плис нужны как воздух.

Ну а так  еще есть куча нюансов.

Аватар пользователя Arioch
Arioch(3 года 8 месяцев)

Так речь шла о том, что никакой разработки не нужно, что можно "взять готовую библиотеку на плюсах", а не писать свою на питоне

Аватар пользователя просто пользователь

Quartus II версии НЕ ВЫШЕ 9.0 sp2

А как обстоят дела с лицензированием этой программ? Имел дело с альтерой(base line), там каждые полгода требовалось обновлять лицензии на ПО, привязка была к сетевой плате или к диску.

И интересна цена на эти чипы. Как-то смотрел в интернете, и мне показалось, что они стоят на порядок дороже оригиналов.

Также интересно качество документации. Насколько она полна и качествена. Это традиционный минус нашей электроники.

Аватар пользователя nabbla
nabbla(1 год 12 месяцев)

Нам пока хватает бесплатных версий. Версию 9.0 sp2 я вообще успел с официального FTP-сервера Альтеры скачать. Спустя пару месяцев Интел его закрыл, а форум перетащил к себе, попутно грохнув базу пользователей, так что у них там юзер Intel ведёт бесконечные беседы сам с собой - вопросы задаёт, отвечает на них...

Аватар пользователя eumorozov
eumorozov(4 года 10 месяцев)

Но это ведь тоже тупик. Получается, что свои собственные ПЛИС изготовить не получится, т.к. их не на чем будет программировать, если я правильно понимаю. Потому что все это ПО для программирования — тоже очень дорогая и сложная штука, разработать которую возможно не проще, чем ПЛИС.

Аватар пользователя nabbla
nabbla(1 год 12 месяцев)

Хотелось бы и свою заполучить, притом менее глюкавую, мне квартус уже достаточно кровушки попил, отчебучивает временами на ровном месте.

Но "не горит": это всё ж не "сервис", превращающийся в тыкву. Существующие ПЛИС можно прошивать. Более новые, если архитектура не изменится - "допилить" можно будет, КТЦ Электроника в этом мастера. А там, глядишь, всё-таки и свою забабахаем...

Аватар пользователя просто пользователь

А есть ли внятное описание ни ИС, по которой можно было бы разрабатывать софт?

Аватар пользователя nabbla
nabbla(1 год 12 месяцев)

Обычной документации на наши ПЛИС (ТУ и РЭ) на это недостаточно, впрочем, Analysis & Synthesis, одну из сложнейших частей, получится сделать и по ней: необходимо код на Verilog/VHDL "раскидать" по логическим элементам, в каждом из которых 4 входа данных, таблица (LUT, Look-Up Table) на входе, и затем регистр. 

Как именно устроен один логический элемент (ЛЭ), прописано неплохо.

Следующий этап, Place&Route требует знать всю специфику интерконнекторов, которые соединяют ЛЭ.

Затем assembler - всё это преобразовать в один файл прошивки, понятный для ПЛИС, тут надо знать, как она конфигурируется, грубо говоря, как она пришедшие на неё биты "распихивает" по всем LUT'ам, мультиплексорам и пр. Самое низкоуровневое, но и самое простое концептуально.

В общем, справившись с Analysis & Synthesis, можно обращаться с деловым предложением в ВЗПП-С, "мы вам сделаем свою среду разработки, смотрите, что уже умеем, а дальше нужно подробное описание потрохов!"

Аватар пользователя Nemoo
Nemoo(9 лет 10 месяцев)

Спасибо! Читается превосходно.

Аватар пользователя Советчик
Советчик(5 лет 11 месяцев)

Очень напоминает историю с производством авиадвигателей в СССР. Сначала лицензии и просто копии западных образцов. Потом развитие и создание собственных моделей.

Комментарий администрации:  
*** Уличен в антисоветской лжи и набросах - https://aftershock.news/?q=comment/7625227#comment-7625227 ***
Аватар пользователя mke61
mke61(11 лет 6 месяцев)

Есть такая буква в этом слове. Китайцы той же дорогой шли. Да и япы в свое время. Так что - всему свое время. 

Доп: соглашусь с Владиславом - пятая колонна много успела дров наломать. И в микроэлектронике тоже. Ещё с СССР.

Аватар пользователя Extremalist
Extremalist(7 лет 4 месяца)

Вот плюсую. Проблемы начались еще в 70-х, и именно из-за некоторых деятелей, которых все заинтересованные знают. Интересно посмотреть, где они сейчас.

Аватар пользователя Инженер2010
Инженер2010(8 лет 5 месяцев)

Спасибо!

А на нашей базе Роскосмос постоянно тестирует оборудование на радиационную стойкость.

Аватар пользователя Rutel
Rutel(8 лет 6 месяцев)

Пока не использовал наших FPGA, но по емкости думаю нормально.

В связке "контроллер+FPGA" пригодны для решения большинства задачь.

Большие размеры - для специальных применений BGA не приветствуются (ноги от ПП отваливаются).

Может быть стоит сделать в корпусах с меньшим числом ног ?

Основная проблема это цена и возможность купить, наверное у микрона производственных мощностей нет.

А остальные "заскоки" - ерунда можно объявить "фичами" и привыкнуть ))).

И самое простое : Почему не создать комплект программ и ПО, для автоматической доработки всех выявленных проблем.

Про себя могу сказать: Я ленив и не очень хочу самостоятельно бегать по "граблям".

Аватар пользователя nabbla
nabbla(1 год 12 месяцев)

Я бы ещё предпочёл контроллер внутрь ПЛИС тоже упрятать :) Активно эту идею реализую, моё процессорное ядро в этой крупной ПЛИС менее 1,5% места занимает, при том, что возложенные задачи решает и весьма шустро, всю математику, на которую нам отводили 200 мс, решает за 220 мкс, и это я ещё аппаратный умножитель не задействовал (всё думаю в 5576ХС6Т влезть, где их нет). 

Уже ощутил, как же это круто, когда ВСЯ моя цифровая часть может быть запущена на симуляцию на компьютере! И из любой точки я могу глянуть "осциллограмму", посмотреть содержание памяти и понять , что не так. И по опыту, если на симуляции всё так, то и "в железе " заработает с тем же успехом.

Аватар пользователя Rutel
Rutel(8 лет 6 месяцев)

По поводу контроллера (система на кристалле), если не ошибаюсь, то особой популярности не получила.

Для малых FPGA особого смысла нет, думаю проще ограничиться SoftCPU (мое мнение).

Вопрос : Сигналтап на наших микросхемах работает ?

 

Аватар пользователя nabbla
nabbla(1 год 12 месяцев)

Именно SoftCPU я и имел в виду, настроить его ровно под свою задачу.

 

SignalTap на 5576ХС4Т должен работать, на последующих, похоже, нет. 

Аватар пользователя просто пользователь

Засунуть туда готовый цпу, озу и флеш - хорошая идея, которая позволит экономить много ресурсов, но все эти части сильно различаются по своей базовой основе и плохо уживаются в одном кристалле при производстве. Поэтому у нас и нет хороших однокристалок.

Аватар пользователя nabbla
nabbla(1 год 12 месяцев)

Вместо флэша (или в целом ПЗУ) выступает конфигуратор ПЛИС, он настроит начальное содержание всех блоков памяти ПЛИС, так что начинаешь работу - а там уже код программы лежит.

ОЗУ там есть, статическая, по современным меркам очень мелкая (от десятков килобит до единиц мегабит), но если мне нужно совершенно конкретную задачу исполнять, мне хватит. (Не компьютер общего назначения с операционкой, сотней фоновых приложений и пр, а "голое железо" и одна программа)

Аватар пользователя Peacedove7
Peacedove7(2 года 1 месяц)

Как раз ТАКОЙ материал и специфичен АШ. Интересный материал 

Аватар пользователя Мурман
Мурман(9 лет 11 месяцев)

Кстати, CYCLONE 10LP есть тот же CYCLONE III !

Так что рынок покрыт удачными архитектурами вполне разумно и воронежские изделия в тренде...

Надеюсь вписаться своим трудом в потребности России. Имею опыт...

Аватар пользователя Sanders
Sanders(8 лет 10 месяцев)

Снижение напряжения с 2,5 до 1,8 вольт это как я понимаю, снижение нанометров... То есть это переход с 90/130нм на 80/50нм...Не эксперт, просто считаю по аналогии с DDR.

Раз сделали тот же чип, но на другом тех процессе, значит есть вся сопроводительная документация "с нуля", что позволило сделать то же, но меньше, просто запаковав в тот же размер пластика.

Аватар пользователя Хитрый Лис
Хитрый Лис(8 лет 9 месяцев)

Нет. От нанометров это не зависит. Тут другая схемотехника и другие пороговые уровни. Т.е другие материалы. Нанометры влияют на частоту плюс потребление (емкость затворов) и то относительно. Это больше маркетинг, чем реальный выигрыш. Ну и попытка компенсировать тупорылость программеров, которые вместо оптимизации софта тупо лепят готовые блоки, чем нивелируют любые выигрыши в схемотехнике.  Понять их можно, постоянная конкурентная гонка. Результат - проигрывают все. Так шта, плюньте на этот треш с нанометрами.

Страницы